cpu32

verilog 32bit cpu experiment
git clone http://frotz.net/git/cpu32.git
Log | Files | Refs

de0nano.qsf (13693B)


      1 #============================================================
      2 # Build by Terasic System Builder
      3 #============================================================
      4 
      5 set_global_assignment -name FAMILY "Cyclone IV E"
      6 set_global_assignment -name DEVICE EP4CE22F17C6
      7 set_global_assignment -name TOP_LEVEL_ENTITY "de0nano"
      8 set_global_assignment -name ORIGINAL_QUARTUS_VERSION 10.1
      9 set_global_assignment -name LAST_QUARTUS_VERSION 11.1
     10 set_global_assignment -name PROJECT_CREATION_TIME_DATE "18:10:22 FEBRUARY 03,2012"
     11 set_global_assignment -name DEVICE_FILTER_PACKAGE FBGA
     12 set_global_assignment -name DEVICE_FILTER_PIN_COUNT 256
     13 set_global_assignment -name DEVICE_FILTER_SPEED_GRADE 6
     14 set_global_assignment -name CYCLONEII_RESERVE_NCEO_AFTER_CONFIGURATION "USE AS REGULAR IO"
     15 
     16 #============================================================
     17 # CLOCK
     18 #============================================================
     19 set_location_assignment PIN_R8 -to CLOCK_50
     20 set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to CLOCK_50
     21 
     22 #============================================================
     23 # LED
     24 #============================================================
     25 set_location_assignment PIN_A15 -to LED[0]
     26 set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to LED[0]
     27 set_location_assignment PIN_A13 -to LED[1]
     28 set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to LED[1]
     29 set_location_assignment PIN_B13 -to LED[2]
     30 set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to LED[2]
     31 set_location_assignment PIN_A11 -to LED[3]
     32 set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to LED[3]
     33 set_location_assignment PIN_D1 -to LED[4]
     34 set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to LED[4]
     35 set_location_assignment PIN_F3 -to LED[5]
     36 set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to LED[5]
     37 set_location_assignment PIN_B1 -to LED[6]
     38 set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to LED[6]
     39 set_location_assignment PIN_L3 -to LED[7]
     40 set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to LED[7]
     41 
     42 #============================================================
     43 # KEY
     44 #============================================================
     45 set_location_assignment PIN_J15 -to KEY[0]
     46 set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to KEY[0]
     47 set_location_assignment PIN_E1 -to KEY[1]
     48 set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to KEY[1]
     49 
     50 #============================================================
     51 # SW
     52 #============================================================
     53 set_location_assignment PIN_M1 -to SW[0]
     54 set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SW[0]
     55 set_location_assignment PIN_T8 -to SW[1]
     56 set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SW[1]
     57 set_location_assignment PIN_B9 -to SW[2]
     58 set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SW[2]
     59 set_location_assignment PIN_M15 -to SW[3]
     60 set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SW[3]
     61 
     62 #============================================================
     63 # SDRAM
     64 #============================================================
     65 set_location_assignment PIN_M7 -to DRAM_BA[0]
     66 set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_BA[0]
     67 set_location_assignment PIN_M6 -to DRAM_BA[1]
     68 set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_BA[1]
     69 set_location_assignment PIN_R6 -to DRAM_DQM[0]
     70 set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQM[0]
     71 set_location_assignment PIN_T5 -to DRAM_DQM[1]
     72 set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQM[1]
     73 set_location_assignment PIN_L2 -to DRAM_RAS_N
     74 set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_RAS_N
     75 set_location_assignment PIN_L1 -to DRAM_CAS_N
     76 set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_CAS_N
     77 set_location_assignment PIN_L7 -to DRAM_CKE
     78 set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_CKE
     79 set_location_assignment PIN_R4 -to DRAM_CLK
     80 set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_CLK
     81 set_location_assignment PIN_C2 -to DRAM_WE_N
     82 set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_WE_N
     83 set_location_assignment PIN_P6 -to DRAM_CS_N
     84 set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_CS_N
     85 set_location_assignment PIN_G2 -to DRAM_DQ[0]
     86 set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[0]
     87 set_location_assignment PIN_G1 -to DRAM_DQ[1]
     88 set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[1]
     89 set_location_assignment PIN_L8 -to DRAM_DQ[2]
     90 set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[2]
     91 set_location_assignment PIN_K5 -to DRAM_DQ[3]
     92 set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[3]
     93 set_location_assignment PIN_K2 -to DRAM_DQ[4]
     94 set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[4]
     95 set_location_assignment PIN_J2 -to DRAM_DQ[5]
     96 set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[5]
     97 set_location_assignment PIN_J1 -to DRAM_DQ[6]
     98 set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[6]
     99 set_location_assignment PIN_R7 -to DRAM_DQ[7]
    100 set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[7]
    101 set_location_assignment PIN_T4 -to DRAM_DQ[8]
    102 set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[8]
    103 set_location_assignment PIN_T2 -to DRAM_DQ[9]
    104 set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[9]
    105 set_location_assignment PIN_T3 -to DRAM_DQ[10]
    106 set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[10]
    107 set_location_assignment PIN_R3 -to DRAM_DQ[11]
    108 set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[11]
    109 set_location_assignment PIN_R5 -to DRAM_DQ[12]
    110 set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[12]
    111 set_location_assignment PIN_P3 -to DRAM_DQ[13]
    112 set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[13]
    113 set_location_assignment PIN_N3 -to DRAM_DQ[14]
    114 set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[14]
    115 set_location_assignment PIN_K1 -to DRAM_DQ[15]
    116 set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[15]
    117 set_location_assignment PIN_P2 -to DRAM_ADDR[0]
    118 set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_ADDR[0]
    119 set_location_assignment PIN_N5 -to DRAM_ADDR[1]
    120 set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_ADDR[1]
    121 set_location_assignment PIN_N6 -to DRAM_ADDR[2]
    122 set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_ADDR[2]
    123 set_location_assignment PIN_M8 -to DRAM_ADDR[3]
    124 set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_ADDR[3]
    125 set_location_assignment PIN_P8 -to DRAM_ADDR[4]
    126 set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_ADDR[4]
    127 set_location_assignment PIN_T7 -to DRAM_ADDR[5]
    128 set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_ADDR[5]
    129 set_location_assignment PIN_N8 -to DRAM_ADDR[6]
    130 set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_ADDR[6]
    131 set_location_assignment PIN_T6 -to DRAM_ADDR[7]
    132 set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_ADDR[7]
    133 set_location_assignment PIN_R1 -to DRAM_ADDR[8]
    134 set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_ADDR[8]
    135 set_location_assignment PIN_P1 -to DRAM_ADDR[9]
    136 set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_ADDR[9]
    137 set_location_assignment PIN_N2 -to DRAM_ADDR[10]
    138 set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_ADDR[10]
    139 set_location_assignment PIN_N1 -to DRAM_ADDR[11]
    140 set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_ADDR[11]
    141 set_location_assignment PIN_L4 -to DRAM_ADDR[12]
    142 set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_ADDR[12]
    143 
    144 #============================================================
    145 # EEPROM
    146 #============================================================
    147 set_location_assignment PIN_F2 -to I2C_SCLK
    148 set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to I2C_SCLK
    149 set_location_assignment PIN_F1 -to I2C_SDAT
    150 set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to I2C_SDAT
    151 
    152 #============================================================
    153 # 2x13 GPIO Header
    154 #============================================================
    155 set_location_assignment PIN_A14 -to GPIO_2[0]
    156 set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_2[0]
    157 set_location_assignment PIN_B16 -to GPIO_2[1]
    158 set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_2[1]
    159 set_location_assignment PIN_C14 -to GPIO_2[2]
    160 set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_2[2]
    161 set_location_assignment PIN_C16 -to GPIO_2[3]
    162 set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_2[3]
    163 set_location_assignment PIN_C15 -to GPIO_2[4]
    164 set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_2[4]
    165 set_location_assignment PIN_D16 -to GPIO_2[5]
    166 set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_2[5]
    167 set_location_assignment PIN_D15 -to GPIO_2[6]
    168 set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_2[6]
    169 set_location_assignment PIN_D14 -to GPIO_2[7]
    170 set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_2[7]
    171 set_location_assignment PIN_F15 -to GPIO_2[8]
    172 set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_2[8]
    173 set_location_assignment PIN_F16 -to GPIO_2[9]
    174 set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_2[9]
    175 set_location_assignment PIN_F14 -to GPIO_2[10]
    176 set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_2[10]
    177 set_location_assignment PIN_G16 -to GPIO_2[11]
    178 set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_2[11]
    179 set_location_assignment PIN_G15 -to GPIO_2[12]
    180 set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_2[12]
    181 set_location_assignment PIN_E15 -to GPIO_2_IN[0]
    182 set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_2_IN[0]
    183 set_location_assignment PIN_E16 -to GPIO_2_IN[1]
    184 set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_2_IN[1]
    185 set_location_assignment PIN_M16 -to GPIO_2_IN[2]
    186 set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_2_IN[2]
    187 
    188 # A few GPIOS on JP1
    189 set_location_assignment PIN_D3 -to GPIO_A[0]
    190 set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_A[0]
    191 set_location_assignment PIN_C3 -to GPIO_A[1]
    192 set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_A[1]
    193 set_location_assignment PIN_A2 -to GPIO_A[2]
    194 set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_A[2]
    195 set_location_assignment PIN_A3 -to GPIO_A[3]
    196 set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_A[3]
    197 set_location_assignment PIN_B3 -to GPIO_A[4]
    198 set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_A[4]
    199 set_location_assignment PIN_B4 -to GPIO_A[5]
    200 set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_A[5]
    201 set_location_assignment PIN_A4 -to GPIO_A[6]
    202 set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_A[6]
    203 set_location_assignment PIN_B5 -to GPIO_A[7]
    204 set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_A[7]
    205 set_location_assignment PIN_A5 -to GPIO_A[8]
    206 set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_A[8]
    207 set_location_assignment PIN_D5 -to GPIO_A[9]
    208 set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_A[9]
    209 set_location_assignment PIN_B6 -to GPIO_A[10]
    210 set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_A[10]
    211 set_location_assignment PIN_A6 -to GPIO_A[11]
    212 set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_A[11]
    213 
    214 #============================================================
    215 # End of pin assignments by Terasic System Builder
    216 #============================================================
    217 
    218 
    219 set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -section_id Top
    220 set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -section_id Top
    221 set_global_assignment -name PARTITION_COLOR 16764057 -section_id Top
    222 
    223 set_global_assignment -name RTLV_GROUP_COMB_LOGIC_IN_CLOUD OFF
    224 set_global_assignment -name EDA_SIMULATION_TOOL "ModelSim-Altera (Verilog)"
    225 set_global_assignment -name EDA_OUTPUT_DATA_FORMAT "VERILOG HDL" -section_id eda_simulation
    226 set_global_assignment -name EDA_TIME_SCALE "1 ps" -section_id eda_simulation
    227 set_global_assignment -name EDA_GENERATE_RTL_SIMULATION_COMMAND_SCRIPT ON -section_id eda_simulation
    228 set_global_assignment -name EDA_GENERATE_GATE_LEVEL_SIMULATION_COMMAND_SCRIPT ON -section_id eda_simulation
    229 set_global_assignment -name EDA_MAINTAIN_DESIGN_HIERARCHY ON -section_id eda_simulation
    230 set_global_assignment -name EDA_TEST_BENCH_ENABLE_STATUS TEST_BENCH_MODE -section_id eda_simulation
    231 set_global_assignment -name EDA_NATIVELINK_SIMULATION_TEST_BENCH testbench -section_id eda_simulation
    232 set_global_assignment -name EDA_TEST_BENCH_NAME testbench -section_id eda_simulation
    233 set_global_assignment -name EDA_DESIGN_INSTANCE_NAME NA -section_id testbench
    234 set_global_assignment -name EDA_TEST_BENCH_MODULE_NAME testbench -section_id testbench
    235 set_global_assignment -name EDA_TEST_BENCH_FILE testbench.v -section_id testbench
    236 
    237 set_global_assignment -name TEXT_FILE fw.txt
    238 set_global_assignment -name VERILOG_FILE ../verilog/alu.v
    239 set_global_assignment -name VERILOG_FILE ../verilog/control.v
    240 set_global_assignment -name VERILOG_FILE ../verilog/cpu32.v
    241 set_global_assignment -name VERILOG_FILE ../verilog/library.v
    242 set_global_assignment -name VERILOG_FILE ../verilog/ram.v
    243 set_global_assignment -name VERILOG_FILE ../verilog/regfile.v
    244 set_global_assignment -name VERILOG_FILE ../verilog/uart.v
    245 set_global_assignment -name VERILOG_FILE de0nano.v
    246 set_global_assignment -name SDC_FILE de0nano.sdc
    247 
    248 set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -section_id Top