gateware

A collection of little open source FPGA hobby projects
git clone http://frotz.net/git/gateware.git
Log | Files | Refs | README

nexys4.xdc (2198B)


      1 
      2 ##Bank = 35, Pin name = IO_L12P_T1_MRCC_35, Sch name = CLK100MHZ
      3 set_property PACKAGE_PIN E3 [get_ports clk]
      4 set_property IOSTANDARD LVCMOS33 [get_ports clk]
      5 
      6 # 100MHz
      7 create_clock -add -name sys_clk_pin -period 10.00 -waveform {0 5} [get_ports clk]
      8 
      9 # 250MHz
     10 #create_clock -add -name sys_clk_pin -period 4.00 -waveform {0 2} [get_ports clk]
     11 
     12 # 200MHz
     13 #create_clock -add -name sys_clk_pin -period 5.00 -waveform {0 2.5} [get_ports clk]
     14 
     15 # 150MHz
     16 #create_clock -add -name sys_clk_pin -period 6.6666 -waveform {0 3.3333} [get_ports clk]
     17 
     18 set_property PACKAGE_PIN T8 [get_ports {led[0]}]
     19 set_property IOSTANDARD LVCMOS33 [get_ports {led[0]}]
     20 set_property PACKAGE_PIN V9 [get_ports {led[1]}]
     21 set_property IOSTANDARD LVCMOS33 [get_ports {led[1]}]
     22 set_property PACKAGE_PIN R8 [get_ports {led[2]}]
     23 set_property IOSTANDARD LVCMOS33 [get_ports {led[2]}]
     24 set_property PACKAGE_PIN T6 [get_ports {led[3]}]
     25 set_property IOSTANDARD LVCMOS33 [get_ports {led[3]}]
     26 set_property PACKAGE_PIN T5 [get_ports {led[4]}]
     27 set_property IOSTANDARD LVCMOS33 [get_ports {led[4]}]
     28 set_property PACKAGE_PIN T4 [get_ports {led[5]}]
     29 set_property IOSTANDARD LVCMOS33 [get_ports {led[5]}]
     30 set_property PACKAGE_PIN U7 [get_ports {led[6]}]
     31 set_property IOSTANDARD LVCMOS33 [get_ports {led[6]}]
     32 set_property PACKAGE_PIN U6 [get_ports {led[7]}]
     33 set_property IOSTANDARD LVCMOS33 [get_ports {led[7]}]
     34 set_property PACKAGE_PIN V4 [get_ports {led[8]}]
     35 set_property IOSTANDARD LVCMOS33 [get_ports {led[8]}]
     36 set_property PACKAGE_PIN U3 [get_ports {led[9]}]
     37 set_property IOSTANDARD LVCMOS33 [get_ports {led[9]}]
     38 set_property PACKAGE_PIN V1 [get_ports {led[10]}]
     39 set_property IOSTANDARD LVCMOS33 [get_ports {led[10]}]
     40 set_property PACKAGE_PIN R1 [get_ports {led[11]}]
     41 set_property IOSTANDARD LVCMOS33 [get_ports {led[11]}]
     42 set_property PACKAGE_PIN P5 [get_ports {led[12]}]
     43 set_property IOSTANDARD LVCMOS33 [get_ports {led[12]}]
     44 set_property PACKAGE_PIN U1 [get_ports {led[13]}]
     45 set_property IOSTANDARD LVCMOS33 [get_ports {led[13]}]
     46 set_property PACKAGE_PIN R2 [get_ports {led[14]}]
     47 set_property IOSTANDARD LVCMOS33 [get_ports {led[14]}]
     48 set_property PACKAGE_PIN P2 [get_ports {led[15]}]
     49 set_property IOSTANDARD LVCMOS33 [get_ports {led[15]}]
     50