zynq-sandbox

old FPGA projects for ZYNQ
git clone http://frotz.net/git/zynq-sandbox.git
Log | Files | Refs | README

zybo_simple_io.xdc (1750B)


      1 ##Clock signal
      2 ##IO_L11P_T1_SRCC_35	
      3 set_property PACKAGE_PIN L16 [get_ports clk]
      4 set_property IOSTANDARD LVCMOS33 [get_ports clk]
      5 create_clock -add -name sys_clk_pin -period 8.00 -waveform {0 4} [get_ports clk]
      6 
      7 ##Switches
      8 ##IO_L19N_T3_VREF_35
      9 set_property PACKAGE_PIN G15 [get_ports {sw[0]}]
     10 set_property IOSTANDARD LVCMOS33 [get_ports {sw[0]}]
     11 
     12 ##IO_L24P_T3_34
     13 set_property PACKAGE_PIN P15 [get_ports {sw[1]}]
     14 set_property IOSTANDARD LVCMOS33 [get_ports {sw[1]}]
     15 
     16 ##IO_L4N_T0_34
     17 set_property PACKAGE_PIN W13 [get_ports {sw[2]}]
     18 set_property IOSTANDARD LVCMOS33 [get_ports {sw[2]}]
     19 
     20 ##IO_L9P_T1_DQS_34
     21 set_property PACKAGE_PIN T16 [get_ports {sw[3]}]
     22 set_property IOSTANDARD LVCMOS33 [get_ports {sw[3]}]
     23 
     24 ##Buttons
     25 ##IO_L20N_T3_34
     26 set_property PACKAGE_PIN R18 [get_ports {btn[0]}]
     27 set_property IOSTANDARD LVCMOS33 [get_ports {btn[0]}]
     28 
     29 ##IO_L24N_T3_34
     30 set_property PACKAGE_PIN P16 [get_ports {btn[1]}]
     31 set_property IOSTANDARD LVCMOS33 [get_ports {btn[1]}]
     32 
     33 ##IO_L18P_T2_34
     34 set_property PACKAGE_PIN V16 [get_ports {btn[2]}]
     35 set_property IOSTANDARD LVCMOS33 [get_ports {btn[2]}]
     36 
     37 ##IO_L7P_T1_34
     38 set_property PACKAGE_PIN Y16 [get_ports {btn[3]}]
     39 set_property IOSTANDARD LVCMOS33 [get_ports {btn[3]}]
     40 
     41 ##LEDs
     42 ##IO_L23P_T3_35
     43 set_property PACKAGE_PIN M14 [get_ports {led[0]}]
     44 set_property IOSTANDARD LVCMOS33 [get_ports {led[0]}]
     45 
     46 ##IO_L23N_T3_35
     47 set_property PACKAGE_PIN M15 [get_ports {led[1]}]
     48 set_property IOSTANDARD LVCMOS33 [get_ports {led[1]}]
     49 
     50 ##IO_0_35
     51 set_property PACKAGE_PIN G14 [get_ports {led[2]}]
     52 set_property IOSTANDARD LVCMOS33 [get_ports {led[2]}]
     53 
     54 ##IO_L3N_T0_DQS_AD1N_35
     55 set_property PACKAGE_PIN D18 [get_ports {led[3]}]
     56 set_property IOSTANDARD LVCMOS33 [get_ports {led[3]}]
     57