gateware

Unnamed repository; edit this file 'description' to name the repository.
Log | Files | Refs | README

commit 2481cb4eebe8b17a9c25320cc80fab17a4bcbf8b
parent 0ade8e45ef87b061caf44ab04165340d8200a99a
Author: Brian Swetland <swetland@frotz.net>
Date:   Wed, 26 Dec 2018 20:02:46 -0800

icebreaker diagnostics project

simple square waves on the pmod ports

Diffstat:
Ahdl/board_icebreaker.pcf | 48++++++++++++++++++++++++++++++++++++++++++++++++
Ahdl/icebreaker_diags.sv | 24++++++++++++++++++++++++
Aproject/icebreaker-diags.def | 6++++++
3 files changed, 78 insertions(+), 0 deletions(-)

diff --git a/hdl/board_icebreaker.pcf b/hdl/board_icebreaker.pcf @@ -0,0 +1,48 @@ +set_io osc12m 35 + +set_io pmod1a[0] 4 +set_io pmod1a[1] 2 +set_io pmod1a[2] 47 +set_io pmod1a[3] 45 +set_io pmod1a[4] 3 +set_io pmod1a[5] 48 +set_io pmod1a[6] 46 +set_io pmod1a[7] 44 + +set_io pmod1b[0] 43 +set_io pmod1b[1] 38 +set_io pmod1b[2] 34 +set_io pmod1b[3] 31 +set_io pmod1b[4] 42 +set_io pmod1b[5] 36 +set_io pmod1b[6] 32 +set_io pmod1b[7] 28 + +set_io pmod2[0] 27 +set_io pmod2[1] 25 +set_io pmod2[2] 21 +set_io pmod2[3] 19 +set_io pmod2[4] 26 +set_io pmod2[5] 23 +set_io pmod2[6] 20 +set_io pmod2[7] 18 + +set_io spi_cs 16 +set_io spi_clk 15 +set_io spi_mosi 17 +set_io spi_miso 14 +set_io spi_wp 12 +set_io spi_rst 13 + +set_io uart_rx 6 +set_io uart_tx 9 + +set_io led_red 11 +set_io led_grn 37 + +set_io button 10 + +set_io rgb3red 39 +set_io rgb3grn 40 +set_io rgb3blu 41 + diff --git a/hdl/icebreaker_diags.sv b/hdl/icebreaker_diags.sv @@ -0,0 +1,24 @@ + +module top( + input osc12m, + output [7:0]pmod1a, + output [7:0]pmod1b, + output [7:0]pmod2, + output led_red, + output led_grn, + input button + ); + +reg [31:0]count; + +always_ff @(posedge osc12m) + count <= count + 32'h1; + +assign pmod1a = count[7:0]; +assign pmod1b = count[7:0]; +assign pmod2 = count[7:0]; + +assign led_grn = count[20]; +assign led_red = count[21]; + +endmodule diff --git a/project/icebreaker-diags.def b/project/icebreaker-diags.def @@ -0,0 +1,6 @@ + +PROJECT_TYPE := nextpnr-ice40 + +PROJECT_SRCS := hdl/icebreaker_diags.sv hdl/board_icebreaker.pcf + +PROJECT_NEXTPNR_OPTS := --package sg48 --up5k