commit c12b83edea2a10b85eb53aead22c35eebf99b2de parent 57cd05b5497aaf3a5f3438ae945bc90361d6271a Author: Brian Swetland <swetland@frotz.net> Date: Fri, 4 Jul 2014 08:06:29 -0700 remove obsolete script Diffstat:
D | build/build.tcl | | | 27 | --------------------------- |
1 file changed, 0 insertions(+), 27 deletions(-)
diff --git a/build/build.tcl b/build/build.tcl @@ -1,27 +0,0 @@ - -source out/files.tcl - -synth_design -top top -part $part xc7z010clg400-2 - -write_checkpoint -force ./out/post-synth-checkpoint.dcp -report_utilization -file ./out/post-synth-utilization.txt -report_timing -sort_by group -max_paths 5 -path_type summary -file ./out/post-synth-timing.txt - -opt_design -power_opt_design -place_design -write_checkpoint -force ./out/post-place-checkpoint.dcp - -phys_opt_design -route_design -write_checkpoint -force ./out/post-route-checkpoint.dcp - -report_utilization -file ./out/post-route-utilization.txt -report_timing_summary -file ./out/post-route-timing-summary.txt -report_timing -sort_by group -max_paths 100 -path_type summary -file ./out/post-route-timing.txt -report_drc -file ./out/post-route-drc.txt -write_verilog -force ./out/post-route-netlist.v -write_xdc -no_fixed_only -force ./out/post-route-constr.xdc - -write_bitstream -force -file ./out/design.bit -